Welcome![Sign In][Sign Up]
Location:
Search - vhdl ps2

Search list

[VHDL-FPGA-Verilogps2_Code

Description: ps2接口编程实验,采用VHDL编程,用ISE开发工具-ps2 interface programming experiments using VHDL programming, development tools with ISE
Platform: | Size: 6144 | Author: 赵晗 | Hits:

[VHDL-FPGA-Verilogps2_soc2

Description: PS2的源代码VHDL语言实现,可以和计算机直接连接.做鼠标键盘接口.-PS2 source VHDL, and can be connected directly to the computer. So the mouse, keyboard interface.
Platform: | Size: 21504 | Author: 喻袁洲 | Hits:

[VHDL-FPGA-Verilogps2_vhdl

Description: 利用vhdl实现FPGA芯片从PS2键盘读出数据(0-F) 并在数码管上显示 -use FPGA chip from the PS2 keyboard sensed data (0-F) and displayed on a digital control
Platform: | Size: 1024 | Author: 刘音 | Hits:

[VHDL-FPGA-VerilogS3Demo

Description: Spartan 3 Digilent Demo:This demo drives the perphrials on the Spartan 3 board. This drives a simple pattern to the VGA port, connects the switches to the LEDs, buttons to each anode of the seven segment decoder. The seven segment decoder has a simple counter running on it, and when SW0 is in the up position the seven segment decoder will display scan codes from the PS2 port. This demo how ever does not drive the RS-232 port or the memory. This is a simple design done entirely VHDL not microblaze.
Platform: | Size: 731136 | Author: Roy Hsu | Hits:

[VHDL-FPGA-VerilogkeyboardScan

Description: PS2接口键盘扫描码截取电路,VHDL程序。该程序能够捕获PS2键盘按下的按键值,并将其扫描码转换成ASCII码。-PS2 keyboard scan code interception interface circuit, VHDL procedures. The program can capture PS2 keyboard press the keys values and scan codes into ASCII code.
Platform: | Size: 1024 | Author: 宁新 | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: ps2 keyboard verilog源代码,支持ascii码.扫描码输出,扩展键输出,按下及释放信息输出-ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
Platform: | Size: 5120 | Author: 李志刚 | Hits:

[VHDL-FPGA-Verilogps2_caculator_vhdl

Description: 基于spartan3火龙刀系列FPGA开发板制作的计算器,利PS2键盘做输入控制,有很好的借鉴价值-Fire Dragon spartan3 knife series based FPGA development board produced calculators, Lee PS2 keyboard input control to do, have a good reference value
Platform: | Size: 3072 | Author: 朱东亮 | Hits:

[VHDL-FPGA-Verilogexample

Description: 几个基本的VHDL例子,包括VGA显示,鼠标键盘PS2接口,数码管等。在XILINX板子上均可运行-Several basic VHDL examples, including VGA display, mouse, PS2 keyboard interface, digital tubes, and so on. XILINX board may be in the running
Platform: | Size: 2675712 | Author: kljlj | Hits:

[VHDL-FPGA-VerilogVGA_code

Description: VGA字符显示VHDL程序 可以直接用于工程的设计与开发-VGA display characters can be directly used for VHDL design and development
Platform: | Size: 174080 | Author: 毋杰 | Hits:

[VHDL-FPGA-Verilogdds

Description: 实现dds功能,利用quartus软件, 子模块包括加法器,锁相环,date-rom 利用原图将各模块综合,利用ps2键盘控制频率及相位。-Dds realize functions, using Quartus software, sub-modules including the adder, phase-locked loop, date-rom image to the module using integrated, using ps2 keyboard to control the frequency and phase.
Platform: | Size: 2854912 | Author: lijingfeng | Hits:

[VHDL-FPGA-VerilogS9_PS2_LCD

Description: 键盘输入液晶模块显示字符,在液晶显示屏上显示从PS2键盘输入的字符-Keyboard input LCD display module characters displayed in the LCD screen from the PS2 keyboard input characters
Platform: | Size: 765952 | Author: zl.yin | Hits:

[VHDL-FPGA-Verilogmouse

Description: 用VHDL编写的鼠标控制程序,经测试运行稳定,且容易修改,升级-VHDL prepared using the mouse control procedures, have been tested to run stable, and easy to modify, upgrade
Platform: | Size: 2048 | Author: 李鑫 | Hits:

[VHDL-FPGA-VerilogPS2Fpga

Description: PS2开发源代码,取自于FPGA开发板,可直接应用于实际项目中-PS2 development of source code, derived from FPGA development board can be directly applied to actual projects
Platform: | Size: 610304 | Author: 王军 | Hits:

[VHDL-FPGA-VerilogEP2C-SOURCE_CODE

Description: 有關於EP2C的一些程序(EX:I2C,FLASH,IRDA,MUSIC,LED,LIGHT,SRAM,UART,PS2,SPI )-EP2C on some of the procedures (EX: I2C, FLASH, IRDA, MUSIC, LED, LIGHT, SRAM, UART, PS2, SPI)
Platform: | Size: 2994176 | Author: 鄧志明 | Hits:

[Windows Developlcd

Description: 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
Platform: | Size: 1053696 | Author: luojicheng | Hits:

[VHDL-FPGA-Verilogps2_mouse

Description: ps2鼠标驱动,verilog 编写,代码很短,上电初始化后即可使用-ps2 mouse driver
Platform: | Size: 2048 | Author: long Xu | Hits:

[SCMVHDL

Description: 数码管显示,温度传感,红外感应,流水灯蜂鸣器,PS2,RS232的相关VHDL程序,已经在MAX-IIEPM570开发板上测试成功-Digital display, temperature sensor, infrared sensor, water lights buzzer, PS2, RS232 relevant VHDL procedures have been developed at MAX-IIEPM570 the success of on-board test
Platform: | Size: 9216 | Author: 刘运学 | Hits:

[Embeded-SCM DevelopPs2-ALL

Description: PS2键盘鼠标接口控制实现电子琴功能,verilog hdl 编写-PS2 keyboard and mouse interface to control the realization of organ function, verilog hdl prepared
Platform: | Size: 1058816 | Author: rutingfeng | Hits:

[VHDL-FPGA-VerilogPS2

Description: vhdl 写得ps2 ip vhdl 写得ps2 ip-vhdl ps2 vhdl ps2 vhdl ps2 vhdl ps2 vhdl ps2
Platform: | Size: 2048 | Author: gaoxian | Hits:

[SCMDesktop

Description: it is the ps2 interface code writed in modelsim
Platform: | Size: 4096 | Author: om | Hits:
« 1 23 4 5 6 7 8 9 10 »

CodeBus www.codebus.net